A portal page for learning experiences in Computer Programming, and more.
GPL-3.0 License
This repository is a reference to my learning experience on programming. Other subjects will be added in the future.
Redirect: | :octocat:/seanpm2001:Learn |
---|
See also: :octocat: SNU 2D Programming Tools
A lot of projects have Learn
in their name. Microsoft Learn is a completely different project, which only documents Microsoft related technologies. This project documents my knowledge of hundreds of programming languages. They are 2 completely different projects.
Viewing the table
The table on this page was intended to function normally, but on GitHub, the 7 column table becomes scrollable unless you visit the individual README file. To prevent unnecessary scrolling, try widening the margins via inspect element/other change, or view the README.md file separately
The table consists of hundreds of image files, an image for every programming language is listed on a table. This may cause your system to lag/crash. Unfortunately, I couldn't put this notice any higher. If you are still reading this, your system has not crashed yet (unless it softlocked) for reference, here are the page viewing requirements (all estimates)
As of version 92 and later, 100+ emojis are included into this count.
As of version ~100 and above, GitHub can't handle all the images at once for long periods of time. Upon scrolling through the page a second, third, etc. time, you will likely see images flash as they are reloaded back into memory.
Note These are guestimates. They are not exact.
728 Megabits/download (91 Megabyte download) ?/upload
1 gigabyte/tab (0.931 GiB)
Unknown
Unknown
Note These are guestimates. They are not exact.
1.456 Gigabits/download (182 Megabyte download) ?/upload
2 gigabytes/tab (1.86 GiB)
Unknown
Unknown
Note These are all guestimates, although the lag is still guaranteed.
computers with less than 10 gigabytes of RAM
computers with less than 13 gigabytes of RAM
computers with less than 14 gigabytes of RAM
computers with less than 16 gigabytes of RAM
computers with less than 15.5 gigabytes of RAM
computers with less than 13.5 gigabytes of RAM
computers with less than 10 gigabytes of RAM
computers with less than 9.5 gigabytes of RAM
not optimized at all
Users in these ranges may experience tab/system crashes. Lag will be guaranteed
As of Version 92 (2022, Saturday, November 26th)
This page is merely a giant hallway. Every link leads to another room.
This page, along with all of its subpages, took over 820
hours of development time (as of 2023, Thursday, June 22nd)
The icons on this page are a work in progress. SVG themes are planned for the future.
The language switcher requires more volunteers. No version is available in a language other than English at the moment.
_ List of human spoken languages_
( af Afrikaans Afrikaans | sq Shqiptare Albanian | am Amharic | ar Arabic | hy Armenian | az Azrbaycan dili Azerbaijani | eu Euskara Basque | be Belarusian | bn Bengali | bs Bosanski Bosnian | bg Bulgarian | ca Catal Catalan | ceb Sugbuanon Cebuano | ny Chichewa Chichewa | zh-CN Chinese (Simplified) | zh-t Chinese (Traditional) | co Corsu Corsican | hr Hrvatski Croatian | cs etina Czech | da dansk Danish | nl Nederlands Dutch | en-us English English | EO Esperanto Esperanto | et Eestlane Estonian | tl Pilipino Filipino | fi Suomalainen Finnish | fr franais French | fy Frysk Frisian | gl Galego Galician | ka Georgian | de Deutsch German | el Greek | gu Gujarati | ht Kreyl ayisyen Haitian Creole | ha Hausa Hausa | haw lelo Hawaii Hawaiian | he Hebrew | hi Hindi | hmn Hmong Hmong | hu Magyar Hungarian | is slenska Icelandic | ig Igbo Igbo | id bahasa Indonesia Indonesian | ga Gaeilge Irish | it Italiana/Italiano Italian | ja Japanese | jw Wong jawa Javanese | kn Kannada | kk Kazakh | km Khmer | rw Kinyarwanda Kinyarwanda | ko-south Korean (South) | ko-north Korean (North) (NOT YET TRANSLATED) | ku Kurd Kurdish (Kurmanji) | ky Kyrgyz | lo Lao | la Latine Latin | lt Lietuvis Lithuanian | lb Ltzebuergesch Luxembourgish | mk Macedonian | mg Malagasy Malagasy | ms Bahasa Melayu Malay | ml Malayalam | mt Malti Maltese | mi Maori Maori | mr Marathi | mn Mongolian | my Myanmar (Burmese) | ne Nepali | no norsk Norwegian | or () Odia (Oriya) | ps Pashto | fa | Persian | pl polski Polish | pt portugus Portuguese | pa Punjabi | No languages available that start with the letter Q | ro Romn Romanian | ru Russian | sm Faasamoa Samoan | gd Gidhlig na h-Alba Scots Gaelic | sr Serbian | st Sesotho Sesotho | sn Shona Shona | sd Sindhi | si Sinhala | sk Slovk Slovak | sl Slovenina Slovenian | so Soomaali Somali | [es en espaol Spanish | su Sundanis Sundanese | sw Kiswahili Swahili | sv Svenska Swedish | tg Tajik | ta Tamil | tt Tatar | te Telugu | th Thai | tr Trk Turkish | tk Trkmenler Turkmen | uk Ukrainian | ur Urdu | ug Uyghur | uz O'zbek Uzbek | vi Ting Vit Vietnamese | cy Cymraeg Welsh | xh isiXhosa Xhosa | yi Yiddish | yo Yoruba Yoruba | zu Zulu Zulu ) Available in 110 languages (108 when not counting English and North Korean, as North Korean has not been translated yet Read about it here)
Translations in languages other than English are machine translated and are not yet accurate. No errors have been fixed yet as of February 5th 2021. Please report translation errors here make sure to backup your correction with sources and guide me, as I don't know languages other than English well (I plan on getting a translator eventually) please cite wiktionary and other sources in your report. Failing to do so will result in a rejection of the correction being published.
Note: due to limitations with GitHub's interpretation of markdown (and pretty much every other web-based interpretation of markdown) clicking these links will redirect you to a separate file on a separate page that isn't my GitHub profile page. You will be redirected to the seanpm2001/seanpm2001 repository, where the README is hosted.
Translations are done with Google Translate due to limited or no support for the languages I need in other translation services like DeepL and Bing Translate. For some reason, the formatting (links, dividers, bolding, italics, etc.) is messed up in various translations. It is tedious to fix, and I do not know how to fix these issues in languages with non-latin characters, and right to left languages (like Arabic) extra help is needed in fixing these issues
Due to maintenance issues, over 25 translations are out of date and are using Version 8 or Version 9 of this README file. A translator is needed. Also, as of April 1st 2021, it is going to take me a while to get all the new links working.
Flag guide
Flags were beginning to get added in version 2 of this document. I was originally just going to add the Ukraine flag, but decided to add more, until every language had at least 1 flag. They were done purely with the existing knowledge of the developer. In version 3, flags were added for every language. There are some notes that go with this:
[Unions and Nations
]
Languages listed here that are official languages of the European Union have a European Union flag `` next to them. As of 2022 March 14th, there are currently 27 languages recognized by the European Union, from 27 different member states.
Languages listed here that are official languages of the United Nations have a United Nations flag `` next to them. As of 2022 March 14th, there are currently 6 languages recognized by the European Union (Arabic, Chinese, English, French, Russian, and Spanish) alongside 193 different member states and 2 observer states.
[China (PRC) Republic of China (Taiwan)
Hong Kong and Macau
]
For neutrality reasons, languages listed here that have an official majority in Chinese speakers will receive all 4 of these flags, even if the language isn't Chinese or in the Sino family of languages.
[Global languages ``]
Currently, 1 global language is listed, and that is Esperanto. Esperanto is not native to any countries, its goal is to be a universal lingua franca (Latin translation: bridge language) it is represented with a globe with meridians
or ``
[Regarding sexuality ]
Sexuality is not measured here, I feel it would be unnecessary, as you are picking out a language, not a sexuality.
[Location of flag ]
Flags are located at the end of each language name (after the Romanization) with one space before, to the right.
Like this:
[Pl Polski Polish ]
But not like this:
[Pl Polski Polish]
And also not like this:
[Pl Polski Polish]
End of flag guide
2022.03.12
I was so angry and frustrated. I didn't save the file, and my computer randomly froze up twice, then crashed. I had to re-add all the flags, it took an hour to do so originally, and I was just seconds away from finishing. I couldn't save the file quick enough. Luckily, all the research was still in my head, and there was no data that wasn't easily replacable (identically) so it is a type of important work that was the best thing to lose, since it was the easiest to recreate, taking me less than 20 minutes
Other notes:
A language is missing: Latvian
Possibly supporting the next 126 languages that are going to be added to Google Translate
Plans to automate this process with the @AUTOMATE2001
bot
Need to find a way to work with these languages on services other than Google Translate.
There might be noticeable lag in this section due to how many flag emojis are in use.
Languages segment version: 4 (2022, Monday, March 14th at 1:59 pm)
... also, I highly doubt anyone can learn more than 10 languages per year.
I prioritize a few dozen programming languages for learning. The mass majority of languages displayed on this page are languages in which I only know the very, very basics of (Hello World, Comments, file extension, and basic general info) for the languages I prioritize, read here
523
computing languages (as of 2023, Wednesday, August 9th)See: LEARN_REPOLIST.urll
for a full, plain text listing
This feature is still a work in progress, and is not ready yet, see :octocat: AI2001 Docslanguage families
for more info.
A repository for experimenting with Make
Predates the
Learn-PythonTraceback
repository (predecessor)
A PHP knowledge base with a punny name (note: no actual PhD can be earned here)
Yes, I know the current image has PNG transparency checkers but isn't transparent. A proper version is coming soon (if someone can link one)
A place for testing ReStructuredText
IGNORE.md file - Used to create folders in Git, since no other way to do this is obvious/existant to me
Snapshot Version Control System - Old method that is still in use, used prior to Git, still used out of habit
Project language files - An extra feature used to define the top language used in the project, and its purpose, or to list every language in use.
Other methods not yet listed
In regards to programming languages, my goal is to learn the basics of EVERY programming and markup language, or at the minimum, know its name. This is a lifelong goal.
--
---
--
& {- -}
#
#
& """
#
& """
& '''
#
& /*
//
///
////
////*
& \\\\
//
& /* */
/*
& */
<!-- !-->
(* *)
#-*-
{# #}
{* *}
;
C
C:
rem
/ REM
%
%%
@*Multi-
line comment
(I think?) *@
'
''
"
;;
::
/** **/
/*** ***/
/** */
//
& /** */
!+
! Comment
!-
/*! */
/*!
& !*/
##
# & ##
BTW
, OBTW
&> TLDR
NOTE
!
comment
"!
#C
:co
(
& )
/
*/
{- Comment -}
/% %/
- #
++
{{!-- Comment !--}}
/*= Comment =*/
%*-- Comment
*
***
Documentation comment
[Documentation] comment
.ds comment
# Comment
||
#,
NB.
#REM
Comment
#END
=-=
Comment
=-=
Comment
MANY NOT LISTED
UNSUPPORTED
Unknown
The following sample was used for many source code comment comparisons added on 2022 July 27th:
;
//
#
(*
*)
REM
/*
#
--
(* *)
(*
a
It led to lots of errors. Later entries from today were corrected with this sample:
;
//
#
(*
*)
REM
/*
*/
#
--
(* *)
(*
a
Note how the /*
is now a closed comment, instead of spanning through the rest of the document.
Language | Supports break keyword |
End of line |
---|---|---|
Python | ✔️ | ❌ |
Java | ✔️ | ; |
Raku | ✔️ | ; |
C | ✔️ | ; |
C++ | ✔️ | ; |
C# | ✔️ | ; |
Q# | ❌ | ❌ |
Elm | ✔️ | ❌ |
Haskell | ❌ | ❌ |
nesC | ✔️ | ; |
Perl | ✔️ | ; |
JavaScript | ✔️ | ; |
TypeScript | ✔️ | ; |
HTML5 | ❌ | ❌ |
XML | ❌ | ❌ |
Markdown | ❌ | ❌ |
Shell | ✔️ | ❌ |
Solidity | ✔️ | ; |
Prolog | ❌ | ❌ |
Logtalk | ❌ | ❌ |
XSLT | ❌ | ❌ |
SVG | ❌ | ❌ |
INI | ❌ | ❌ |
TOML | ❌ | ❌ |
SGML | ❌ | ❌ |
CSV | ❌ | ❌ |
Lua | ✔️ | ; |
MoonScript | ✔️ | ; |
URLL | ❌ | ❌ |
Zig | ✔️ | ; |
ZenScript | ✔️ | ❓ |
Yacc | ✔️ | ❓ |
YAML | ❌ | ❌ |
XBase | ✔️ | ❓ |
XQuery | ✔️ | ❓ |
XProc | ❌ | ❌ |
WDL | ❌ | ❌ |
Ballerina | ✔️ | ❓ |
GDScript | ✔️ | ❌ |
Pascal | ❌ | ❌ |
R | ✔️ | ❓ |
D | ✔️ | ❓ |
F# | ❌ | ❌ |
Kotlin | ✔️ | ❓ |
QML | ✔️ | ❓ |
QMake | ❌ | ❌ |
JSON | ❌ | ❌ |
CSON | ✔️ | ❓ |
Julia | ✔️ | ❓ |
AppleScript | ❌ | ❌ |
Rust | ✔️ | ; |
1C:Enterprise | ✔️ | ; |
Assembly | ❓ | ❌ |
ActionScript | ✔️ | ; |
Boo | ✔️ | ❌ |
BrightScript | ❌ | ❌ |
COBOL | ❌ | ❌ |
CSS | ❌ | ❌ |
Eiffel | ❌ | ❌ |
Fortran | ❌ | ❌ |
Go! | ❓ | ❓ |
Google Go | ✔️ | ; |
Mercury | ❌ | ❌ |
Mathematica | ✔️ | ; |
Modelica | ✔️ | ; |
Makefile | ❌ | ❌ |
WikiText | ❌ | ❌ |
Objective-C | ✔️ | ; |
Objective-C++ | ✔️ | ; |
PHP | ✔️ | ; |
Swift | ✔️ | ; |
Vala | ✔️ | ; |
VBScript | ❌ | ❌ |
VHDL | ❌ | ❌ |
Notes:
End of line:
❌ = Nothing at the end of the line required (such as ;
)
; = Semicolon required at the end of the line
❓ = Unknown/not tested
init
print "Hello, world!"
print("String")
'string'.print()
print("String");
print 'String\n'
print "String\n"
print "String"
print("String\n")
print('String')
print "String";
Function string(msgPort As Object, userVariables As Object, bsp as Object)
print "String"
End Function
System.out.println("String");
console.log("String");
console.log "String"
alert("String")
alert "String"
Alert( "String" )
QOut( "String" )
PROCEDURE Main()
? "String"
RETURN
using System;
namespace StringTest
{
class Program
{
static void Main()
{
Console.WriteLine("String");
}
}
}
disp("String");
disp('String');
disp('String')
say "String"
say 'String'
Say "String"
printf("String\n");
printf("String");
std::cout >> "String\n";
echo("String");
echo 'String\n'
echo "String"
println "String"
println("String")
println!("String");
printfn "String"
#print "String"
#property s1 "String"
print("String\n");
nykyinen sivu nytt tekstin "String",
print_endline "String"
print_endline "String";;
diag_log "String";
SQF
CAML
display dialog "String"
display alert "String"
Put_Line ("String");
w "String",!
rollout string "String"
(message "String")
(print "String\n")
(print "String")
(let ((str1 (lambda() (display "String") (newline))))
(str1))
(def str
str "String"))
(String\n) print quit
("String")
(prinl "String")
(io:format "String")
io.println("String")
# -*- mode: snippet -*-
fn main() {
"String"
}
lay ["String","Char"] = "String\Char\n"
HTTP/1.1 200 OK
Date: Thursday, 1970, January 1st
Content-Type: text/html; charset=UTF-8
Content-Length: 512
Last-Modified: Thursday, 1970, January 1st at 00:00 PST
Server: Apache/2.4.54 (Unix) (Debian/Linux)
ETag:
Accept-Ranges: bytes
Connection: close
{namespace planepage}
{template .start}
<p>
{msg meaning="Plane.instructions" desc="String"{/msg}
</p>
{/template}
DEFINE PROCEDURE ''STRING'' [N]:
BLOCK 0: BEGIN
PRINT['String']
BLOCK 0: END.
STRING[1];
<p>String</p>
<para>String</para>
PARA { "String" },
Loud("String")
Quiet("String")
<note>String</note>
set title "String"
request str1 = {"String"}
HAML, %p.sample#Str String
puts (1, "String\n")
puts("String")
put "String"
string message(1:string message "String")
document.write("String");
NSLog.println("String");
NS::cout >> "String";
NSLog.writeln("String");
description = "String"
OUTPUT = "String"
END
android.output.printBox("String")
Qout("String")
? 'String'
DISPLAY "String".
WRITE "String".
SELECT 'String';
fun string1() = print("String\n");
string1()
\documentclass{article} % Starts an article
\begin{document} % Begins a document
\a String
DECLARE @message varchar(128)
SELECT @message = 'String'
PRINT @message
<%
Response.write "String"
%>
BEGIN DISPLAY("String") END.
writeln("String\n")
writeln("String");
write "String";
'String' print.
"String" println
str: "String"
Log("String");
MsgBox($MB_SYSTEMMODAL, "Message box title", "String")
- AutoIt3
string: "String"
STRING "String";
String "String"
let main = FStar.IO.print_string "String\n"
output msg string = 'String`
label "String"
print_string "String"
print_nl('String')
{ "string": "String" }
out_string("String.\n")
vtest "String"
function String () {
return "String";
}
return "String";
assert str("String") == "String";
{t} {"String"}
STR1 ( -- ) CR ." String" ;
10 PRINT "String"
= String
IO.PUT("String\n")
service "String" { }
string S1 = "String"
s1 = "String"
s1 = "String";
string @1 () -> (str "String");
System.log (LString.s "String")
System.print("String")
(printout t "String" crlf)
/\ PrintT("String")
const string STRING1 = "String";
select d, source, sink, "String" + msg + ".", v, v.toString(),
@val external string1 = "String"
str1 = "String"
str1 = "String";
putchar('String');
<sentence>String</sentence>
variable'str'="String"
attribute => "String"
#declare str "String"
_string ("String")
_string ("String")
cube("String");
MessageBox StrMB "String" /SD IDOK
? "String"
Transcript show: 'String'.
"cells":[{"cell_type":"markdown","source":"String","metadata":{}},
{{ "String" }}
synthetic {" String "};
MessageBox.Show("String", "Str", MessageBoxButtons.OKCancel)
{\loch String}
Stringy::{ stringy = "String" }
@string(example,
title=String,
}
body::before {
content: "String"
}
implement Command;
include "sys.m";
sys: Sys;
include "draw.m";
include "sh.m";
init(nil: ref Draw->Context, nil: list of string)
{
sys = load Sys Sys->PATH;
sys->print("String\n");
}
<?xqlint
::= 'String'
<?xqlint
});
?>
module Main where
import Debug.Trace
main = trace "String"
BEGIN
dbms_output.put_line('String');
END;
/
$ENTRY Go { = <StrTest>;}
StrTest {
= <Prout 'String'>;
}
output "String"
quit
title: string
title "string"
title: "String",
title = "String"
System.debug('String');
System.Console.WriteLine ("String");
'"
String
'";
++String
+ "String"
const char check[] PROGMEM = "String\n";
(tag (@ (attr1="String")))
<fuzzyTerm name="String" complement="false"></fuzzyTerm>
<?xml version="1.0" encoding="UTF-8" ?>
<st>String</st>
Console.WriteLine("String");
Console.WriteLine("String")
Console.WriteString("String");
SELECT 'String' AS title_message;
@echo off
echo String
"String".postln;
{ text: "String" }
%echo "String"
echo 'String'
echo -a String
{{String}}
x=msgbox("String", 2+64, "Title")
(format t "Project language file 1")
String,String
description = "String"
<Property Name="String" Type="Str"></Property>
<cfset message = "String">
<cfoutput> #message#</cfoutput>
implementation
class method ConsoleApp.Main;
begin
Console.WriteLine('String');
end;
end.
ldc "String"
%putit(string=String)
view layout [text "String" button "Quit" [quit]]
MessageRequester("Message Box", "String")
name: "String"
string "String";
.in string
HtmlText: |-
="<p style='margin:0 0 0 0;'><a href='https://www.w3.org/TR/WCAG21/'> String </a></p>"
msg = msg || 'String'
field-content = <String>
"maxclass" : "String",
@String.type: #STRING
Debug.Print "String "
Debug.print("String");
"Value"=String"
DO .1 <- '?.1$.2'~'#String'
main=["String",nl]
>"gnirtS",,,,,,,,,,,@
dbms_output.put_line('String');
this.Text = "String";
Text BYTE "String",10,0
"String"
gnirtS
#!/usr/bin/bk tclsh
string str1 = "String";
let x = "String"
str: string
string: "String"
let message: string = 'String';
console.log(message);
{"String"}
h1.Heading 1 String
.....Heading: String
..Subheading: String
%s: 'tring''
Print "String"
tellraw @p "String"
put_line "String"
:text
dat "String", 0
dcl-s mytext char(25);
mytext = 'String';
String => "String"
S = "String";
const-string v1, "String"
const str1` = "String" &redef;
def str1: "String";
snippet string
"String"
/:DroppedText:\
/:Section1#String
class PRTSTR
def main
print 'String'
self.message = "String"
externalObjects: {"String"}
str "Hello World"
printf(_("String"), str1);
printf( "String\n" value );
"Str" -> "String"
#!/bin/sed -f
c\
String
q
module main;
initial
begin
$display("String");
$finish;
end
endmodule
.text : { *(.String) }
HOW TO RETURN str1 document:
PUT {"String"} IN collection
RETURN collection
END
let s1 = "String";
return(s1);
RETURN "String"
<%@ Page Language="C#" debug="true" trace="false"%>
<p>String</p>
<%@ Page Language="VB" %>
<p>String</p>
#include "macros.inc"
.macro MacroOne start
"String"
module string
typeOf ("String")
<% <p>String</p> %>
out.print("String\n");
body = '''
String
'''
@FXApp class HelloWorldXtend {
override void start(Stage it) {
title = "String"
scene = new Scene(new StackPane => [
children += new Button => [
text = "Say 'String'"
onAction = [
println("String")
]
]
], 300, 250)
show
}
}
T L
S S :Output_'S';_S S S T T S T T S S L:+1101100=108='S'
T L
T L
S S :Output_'T';_S S S T T S T T S S L:+1101100=108='T'
T L
T L
S S :Output_'R';_S S S T T S T T S S L:+1101100=108='R'
T L
T L
S S :Output_'I';_S S S T T S T T S S L:+1101100=108='I'
T L
T L
T L
S S :Output_'N';_S S S T T S T T S S L:+1101100=108='N'
T L
T L
S S :Output_'G';_S S S T T S T T S S L:+1101100=108='N'
T L
T L
Whitespace
XTend
"String"
"message": {
"type": "string"
}
"String"
'String'
string
++++++++++[>+++++++>++++++++++>+++>+<<<<-]>++.>+.+++++++..+++.>++.<<+++++++++++++++.>.+++.------.--------.>+.>.
unknown
Unsupported
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
Coming soon
I started accelerating the rate of creating Learn repositories on 2022, Friday, August 26th. At the start of acceleration, I began creating 2 learn repositories per day, and 2 associated SNU 2D Programming Tools IDE projects. The acceleration was increased multiple times.
On 2022, September 5th, the rate of acceleration was doubled to 4 learn repositories per day, and 4 associated SNU 2D Programming Tools IDE projects per day.
On 2022, September 10th, acceleration was increased to 5 learn repositories per day, and 5 associated SNU 2D Programming Tools IDE projects per day.
On 2022, September 15th, an additional sixth SNU 2D Programming Tools IDE project was added to the daily flow, as this project wasn't on par with the learn project.
On 2022 September 17th, there was a severe issue with my laptop workflow today, as my main laptop had a malfunctioning fan, and had to be backed up and alternated from, which used up the rest of the days development time. I started the 11 projects beforehand (plus a seventh SNU programming Tools IDE project) but work on them wasn't finished until the next day.
On 2022, September 18th, I began to consider do a new system where I start the projects on day A, make as much progress as I can, then finish the projects on day B (until my laptop can be repaired)
I cannot document the languages in full at the rate I am creating them. It may never be possible to get caught up (at least for the next 3 years)
There was a severe issue with my laptop workflow today, as my main laptop had a malfunctioning fan, and had to be backed up and alternated from, which used up the rest of the days development time.
I might do a new system where I start the projects on day A, make as much progress as I can, then finish the projects on day B (until my laptop can be repaired)
Edit: I have postponed this until needed. My workflow resumed normally.
I fell too far behind with the core learn
project, and had to take some time off creating new repositories to finish getting caught back up here. This is the second time this project has been delayed. It took me nearly 6 hours to get caught up with 6 days worth of entries.
Despite only being back from repairs for less than 8 full days, my laptops fan has come off the barring again. This was really upsetting tonight, and it prevented me from finishing my work properly. I was so far ahead of schedule, then this threw me off by about 4 hours. I held off some work for tomorrow (2022, Octber 26th) and made the following day another catchup day, as the learn
repository fell several days behind. I feel like this is going to happen frequently until I get fully caught up on the entire project (which has an expected dead of 2022, November 6th, which unfortunately is still going up due to off days)
2022 October 6th scope expansion for SNU Programming Tools
The Learn project is being expanded to include all knowledge of languages, instead of just my knowledge. In addition to this, the 2 projects are being connected. The Learn project will serve as the documentation for the project, separate from the IDE chain.
Core 1
: SNU_2D_ProgrammingTools
Core 2
: Learn
I hope for all of my projects to be interconnected in the future, so that all roads lead to Rome.
File type: Markdown Document (*.md *.mkd *.mdown *.markdown)
File version: 134 (2023, Thursday, August 10th at 03:47 pm PST)
Line count (including blank lines and compiler line): 10,708
Word count: 58,118
Character count (including spaces): 397,504
Character count (excluding spaces): 344,324
Size (in bytes): 400,164
File languages: Markdown (CommonMark)
+ HTML (HTML 5.3)
+ English (USA)
All times are UTC-7 (PDT/Pacific Time) (Please also account for DST (Daylight Savings Time) until it is abolished/no longer followed)
Note On 2022, Sunday, March 13th at 2:00 am PST, the time jumped ahead 1 hour to 3:00 am.
Note You may need special rendering support for the
<details>
HTML tag being used in this document
This release was made by: :octocat: @seanpm2001
Changes:
This release was made by: :octocat: @seanpm2001
Changes:
This release was made by: :octocat: @seanpm2001
Changes:
This release was made by: :octocat: @seanpm2001
Changes:
This release was made by: :octocat: @seanpm2001
Changes:
This release was made by: :octocat: @seanpm2001
Changes:
This release was made by: :octocat: @seanpm2001
Changes:
This release was made by: :octocat: @seanpm2001
Changes:
print
and similar statementsbreak
keywordThis release was made by: :octocat: @seanpm2001
Changes:
This release was made by: :octocat: @seanpm2001
Changes:
This release was made by: :octocat: @seanpm2001
Changes:
This release was made by: :octocat: @seanpm2001
Changes:
200x200
-> 900x900
, although it still has a white background)This release was made by: :octocat: @seanpm2001
Changes:
This release was made by: :octocat: @seanpm2001
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table#
comment section to include Earthly and SingularityThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table#
comment section to include BitBake{# #}
comment section to support JinjaThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table;;
comment section to include Racket//
comment section to include OpenQASM%
comment section to include LogTalk//
& /* */
comment section to include CeylonThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table--
comment section to include q#
& """
& '''
comment section to includ Ren'PyThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include Vue.js//
& /* */
comment section to include Vue.js#
comment section to include Meson, Tcl, and Brainfuck;
comment section to include LLVMThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table;
comment section to include ZIL and ZAPThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include Pawn and SourcePawn//
& /* */
comment section to include Pawn and SourcePawn/*
comment section to include Pawn and SourcePawnThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include ZenScript//
& /* */
comment section to include ZenScript/*
comment section to include ZenScript#
comment section to include Desktop;
comment section to include MNOTE
comment section to include WebVTTw "String",!
string section to include MThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include DM & Metal//
& /* */
comment section to include DM & Metal/*
comment section to include DM & MetalUnknown
comment section to include KiCad (Layout and Schematic)This release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include JavaScript++//
& /* */
comment section to include JavaScript++/*
comment section to include JavaScript++#
comment section to include M4;;
comment section to include Emacs Lisp(message "String")
string section, which includes Emacs LispThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include Lingo(* *)
comment section to include OCamlUnknown
comment section to include Malbolgeprint_endline "String"
string section, which includes OCamlThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include Haxe#
comment section to include HXML, Rascal, and RouterOS ScriptThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include Ooc, AngelScript, and Jsonnet// & /* */
comment section to include Ooc, AngelScript, and Jsonnet/* */
comment section to include Ooc, AngelScript, Jsonnet, and YARA/*! */
comment section to include Objective-JThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include F#, OpenEdgeABL, XS, and xBase#
comment section to include YAMLdocument.write("String");
string section, which includes of Objective-Jdescription = "String"
string section, which includes of YARAQout("String")
string section, which includes of xBase? 'String'
string section, which includes of xBaseprintfn "String"
string section, which includes of F#DISPLAY "String".
string section, which includes of OpenEdge ABLstring: "String"
string section, which includes of YAMLThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include Bicep, Logos, and Reason// & /* */
comment section to include Reason/* */
comment section to include Reason##
comment section to include LookML# & ##
comment section to include LookML#
comment section to include LookML(* *)
comment section to include F*let main = FStar.IO.print_string "String\n"
string section to include F*print [Hello World]
string section to include Logoslabel "String"
section to include LookMLprint_string "String"
section to include ReasonThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include Dafny and ReasonML// & /* */
comment section to include Dafny and ReasonML/* */
comment section to include Dafny and ReasonML#
comment section to include ApacheConf, GraphQL, and Nixprint_string "String"
section to include ReasonML{ "string": "String" }
section to include GraphQLThis release was made by: :octocat: @seanpm2001
Changes:
Learn
table//
comment section to include Astro--
comment section to include Cool<!-- !-->
comment section to include Bikeshed and Eagle(* *)
comment section to include Cool#
comment section to include Logcatout_string("String.\n")
section to include CoolThis release was made by: :octocat: @seanpm2001
SVG
<!--
Boogie
// & /*
assert str("String") == "String";
Blade
# & /*
echo 'String\n'
print 'String\n'
HyPhy
//
/** */
function String () {
return "String";
}
HAProxy
#
vtest "String"
Changes:
Learn
table//
comment section to include Boogie, and HyPhy#
comment section to include HaProxy, and Blade<!-- !-->
comment section to include SVG/* */
comment section to include hidden entries//
& /* */
comment section to include hidden entries/** */
comment section to include HyPhy//
& /** */
comment section to include HyPhy#
& /*
comment section to include Boogieassert str("String") == "String";
section to include Boogieecho 'String\n'
section to include Bladeprint 'String\n'
section to include Bladevtest "String"
section to include HaProxyThis release was made by: :octocat: @seanpm2001
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
TODO
Code comments and strings for Factor, Fluent, Fish, Fantom, FCL, Forth, Lean, NewLisp, NetREXX, and REXX
Changes:
Learn
tableAdditional docs
sectionAcceleration project
subsectionIssues
subsection2022 September 17th issues
sub-subsection2022 September 18th issues
sub-subsectionThis release was made by: :octocat: @seanpm2001
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
TODO
Code comments and strings for Factor, Fluent, Fish, Fantom, FCL, Forth, Lean, NewLisp, NetREXX, REXX, Handlebars, Nu, PicoLisp, Stylus, UnrealScript, CartoCSS, G-CODE, GnuPlot, Mako, and Pug
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
TODO
Code comments and strings for Factor, Fluent, Fish, Fantom, FCL, Forth, Lean, NewLisp, NetREXX, REXX, Handlebars, Nu, PicoLisp, Stylus, UnrealScript, CartoCSS, G-CODE, GnuPlot, Mako, Pug, ASCIIDoc, AutoIt, Twig, Uno, and VimScript
Note: I am making this separate release with yesterdays data, as I didn't have the time yesterday, and didn't want to create a gap in the timeline of this table.
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
TODO
Code comments and strings for Factor, Fluent, Fish, Fantom, FCL, Forth, Lean, NewLisp, NetREXX, REXX, Handlebars, Nu, PicoLisp, Stylus, UnrealScript, CartoCSS, G-CODE, GnuPlot, Mako, Pug, ASCIIDoc, AutoIt, Twig, Uno, VimScript, Modula-2, Oberon, Pod, StringTemplate, and WikiText
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
Note: I am making this separate release with yesterdays data, as I didn't have the time yesterday, and didn't want to create a gap in the timeline of this table.
TODO
Code comments and strings for Factor, Fluent, Fish, Fantom, FCL, Forth, Lean, NewLisp, NetREXX, REXX, Handlebars, Nu, PicoLisp, Stylus, UnrealScript, CartoCSS, G-CODE, GnuPlot, Mako, Pug, ASCIIDoc, AutoIt, Twig, Uno, VimScript, Creole, HCL, Modula-3, Seed7, and SystemVerilog
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
TODO
Code comments and strings for Factor, Fluent, Fish, Fantom, FCL, Forth, Lean, NewLisp, NetREXX, REXX, Handlebars, Nu, PicoLisp, Stylus, UnrealScript, CartoCSS, G-CODE, GnuPlot, Mako, Pug, ASCIIDoc, AutoIt, Twig, Uno, VimScript, Creole, HCL, Modula-3, Seed7, SystemVerilog, DTrace, HAML, HLSL, GLSL, and LispFlavoredErlang
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
Note: I am making this separate release with yesterdays data, as I didn't have the time yesterday, and didn't want to create a gap in the timeline of this table.
TODO
Code comments and strings for Factor, Fluent, Fish, Fantom, FCL, Forth, Lean, NewLisp, NetREXX, REXX, Handlebars, Nu, PicoLisp, Stylus, UnrealScript, CartoCSS, G-CODE, GnuPlot, Mako, Pug, ASCIIDoc, AutoIt, Twig, Uno, VimScript, Creole, HCL, Modula-3, Seed7, SystemVerilog, DTrace, HAML, HLSL, GLSL, LispFlavoredErlang, Euphoria, Gosu, OpenPolicyAgent, SaltStack, and XProc
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
TODO
Code comments and strings for Factor, Fluent, Fish, Fantom, FCL, Forth, Lean, NewLisp, NetREXX, REXX, Handlebars, Nu, PicoLisp, Stylus, UnrealScript, CartoCSS, G-CODE, GnuPlot, Mako, Pug, ASCIIDoc, AutoIt, Twig, Uno, VimScript, Creole, HCL, Modula-3, Seed7, SystemVerilog, DTrace, HAML, HLSL, GLSL, LispFlavoredErlang, Euphoria, Gosu, OpenPolicyAgent, SaltStack, XProc, ABAP, HiveQL, PureData, QMake, and XQuery
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
TODO
Code comments and strings for Factor, Fluent, Fish, Fantom, FCL, Forth, Lean, NewLisp, NetREXX, REXX, Handlebars, Nu, PicoLisp, Stylus, UnrealScript, CartoCSS, G-CODE, GnuPlot, Mako, Pug, ASCIIDoc, AutoIt, Twig, Uno, VimScript, Creole, HCL, Modula-3, Seed7, SystemVerilog, DTrace, HAML, HLSL, GLSL, LispFlavoredErlang, Euphoria, Gosu, OpenPolicyAgent, SaltStack, XProc, ABAP, HiveQL, PureData, QMake, XQuery, AL, ALGOL, BASIC, Hope, and NGINX
Changes:
Learn
tableThis release was made by: :octocat: @seanpm2001
Note: I am making this separate release with yesterdays data, as I didn't have the time yesterday, and didn't want to create a gap in the timeline of this table. The part that took the most time was getting comment and string data for 55 languages. I hope to not fall so far behind on this next time.
Changes:
Learn
table--
comment section to include Euphoria and HiveQL#
comment section to include Nu, GnuPlot, Pug, OpenPolicyAgent, SaltStack, QMake, and NGINX//
comment section to include Fantom, FCL, UnrealScript. CartoCSS, Uno, ASCIIDoc, SystemVerilog, DTrace, HLSL, GLSL, Gosu, AL, Thrift, Nextflow, and HolyC//
& /*
comment section to include CartoCSS, DTrace, HAML, GLSL, AL, Thrift, and NextFlow/*
& */
comment section to include CartoCSS, DTrace, HAML, GLSL, AL, Thrift, and NextFlow<!--
& !-->
comment section to include HandleBars, Twig, Mako, XProc, and XQuery(*
& *)
comment section to include Modula-3;
comment section to include LispFlavoredErlang and ForthREM
/ rem
comment section to include BASIC;;
comment section to include NewLispUnknown
comment section to include Orwell'
comment section to include REXXcomment
comment section to include ALGOL60!
comment section to include Factor(
& )
comment section to include G-CODE and Forth/
comment section to include Forth"C
comment section to include PureData"!
coment section to include ABAP{t} {"String"
string section to include Factorecho("String");
string section to include Fantom and FCLSTR1 ( -- ) CR ." String" ;
to include Forth#print "String"
section to include Lean(print "String\n")
section to include NewLispsay 'String'
section to include NetREXXSay "String"
section to include REXXprintf("String\n");
section to include HolyC and DTraceUNKNOWN STRING
section to include Fluent, FCL, Pug, GCC Machine Description, Orwell, NGINX, Hope, AL, XQuery, HiveQL, PureData, SaltStack, GLSL, HLSL, CartoCSS, and G-CODE10 PRINT "String"
section to include BASIC= String
section to include Creole and ASCIIDocIO.PUT("String\n")
section to include Modula-3service "String" { }
section to include HCLstring S1 = "String"
section to include SystemVerilogprint "String"
section to include NextFlowprint("String")
section to include Gosu(prinl "String")
section to include PicoLisp(print "String\n")
section to include NewLisp<p>String</p>
section to include Handlebars and Twig<para>String</para>
section to include XProcWRITE "String".
section to include ABAPBEGIN DISPLAY("String") END.
section to include ALGOL 60echo "String"
section to include Nu and VimScriptwriteln("String\n")
section to include Seed7str: "String"
section to include StylusLog("String");
section to include UnrealScriptMsgBox($MB_SYSTEMMODAL, "Message box title", "String")
section to include AutoIt > AutoIt3return "String";
section to include Uno(io:format "String")
section to include Lisp Flavored Erlang (LFE)<note>String</note>
section to include Makoset title "String"
section to include GnuPlotrequest str1 = {"String"}
section to include OpenPolicyAgent%p.sample#Str String
section to include HAMLputs (1, "String\n")
section to include Euphoriastring message(1:string message "String")
section to include ThriftThis release was made by: :octocat: @seanpm2001
Note: I am making this separate release with yesterdays data, as I didn't have the time yesterday, and didn't want to create a gap in the timeline of this table.
Changes:
Learn
table--
comment section to include Epigram'
comment section to include Self//
comment section to include Jade<!--
& !-->
comment section to include MallardUnknown
comment section to include Joywrite "String";
string section to include Jade'String' print.
string section to include Self<p>String</p>
string section to include MallardUnknown
string section to include Epigram and JoyThis release was made by: :octocat: @seanpm2001
Note: I am making this separate release with yesterdays data, as I didn't have the time yesterday, and didn't want to create a gap in the timeline of this table.
Changes:
Learn
table#
comment section to include Sage and CommonWorkflowLanguage;
comment section to include CLIPS//
comment section to include ANTLRUnknown
comment section to include ASL(printout t "String" crlf)
string section to include CLIPSprint("String\n")
string section to include Sagelabel "String"
string section to include CommonWorkflowLanguageUnknown
string section to include ANTLR, and ASLThis release was made by: :octocat: @seanpm2001
Note: I am making this separate release with yesterdays data, as I didn't have the time yesterday, and didn't want to create a gap in the timeline of this table. (this note may be obsolete)
Changes:
Learn
table#
comment section to include GN/**
& //
comment section to include ReScript//
comment section to include AIDL and CodeQL/*
& //
comment section to include AIDL and CodeQL*/
comment section to include TLA/\ PrintT("String")
string section to include TLAconst string STRING1 = "String";
string section to include AIDLprint("String")
string section to include GNselect d, source, sink, "String" + msg + ".", v, v.toString(),
string section to include CodeQL@val external string1 = "String"
string section to include ReScriptstr1 = "String"
string section to include GNThis release was made by: :octocat: @seanpm2001
Note: I am making this separate release with yesterdays data, as I didn't have the time yesterday, and didn't want to create a gap in the timeline of this table. (this note may be obsolete)
Changes:
Learn
table#
comment section to include Starlark#
& """
comment section to include Starlark#
& '''
comment section to include Starlark/*
& */
comment section to include SASS and B//
comment section to include SASS/*
& //
comment section to include SASS<!-- !-->
comment section to include SGMLUnknown
comment section to include Motorola S-RECORDputchar('String');
string section to include B<sentence>String</sentence>
string section to include SGMLprint("String")
string section to include StarlarkUnknown
string section to include Motorola S-RECORD, and SASSThis release was made by: :octocat: @seanpm2001
Note: I am making this separate release with the day before yesterdays (2022, Sunday, October 3rd) data, as I didn't have the time for a couple of days, and didn't want to create a gap in the timeline of this table.
Changes:
Learn
table#
comment section to include Puppet/*** ***/
comment section to include Lex//
comment section to include Lex, and POV-Ray SDLUnknown
comment section to include DIGITAL Command Language, and ShaderLabvariable'str'="String"
section to include DIGITAL Command Languageattribute => "String"
section to include Puppet#declare str "String"
section to include POV-Ray SDL_string ("String")
section to include ShaderLabThis release was made by: :octocat: @seanpm2001
Note: I am making this separate release with yesterdays (2022, Monday, October4th) data, as I didn't have the time for a couple of days, and didn't want to create a gap in the timeline of this table.
Changes:
Learn
table#
comment section to include AMPL and NASL//
comment section to include OpenSCAD;
comment section to include NSIS--
comment section to include Eprintln("String")
section to include Ecube("String");
section to include OpenSCADMessageBox StrMB "String" /SD IDOK"
section to include NSISUnknown
string section to include AMPL, and NASLThis release was made by: :octocat: @seanpm2001
Note: I am making this separate release with yesterdays (2022, Monday, October 4th) data, as I didn't have the time for a couple of days, and didn't want to create a gap in the timeline of this table. (this note may be obsolete)
Changes:
Learn
table#
comment section to include Fancy and CoffeeScript//
comment section to include AssemblyScript;
comment section to include KiXTart{* *}
comment section to include Smarty<p>String</p>
section to include Smarty"String" println
section to include Fancy? "String"
section to include KiXTartalert "String"
section to include CoffeeScriptUnknown
string section to include AssemblyScriptThis release was made by: :octocat: @seanpm2001
Note: I am making this separate release with yesterdays (2022, Monday, October 4th) data, as I didn't have the time for a couple of days, and didn't want to create a gap in the timeline of this table. (this note may be obsolete)
Changes:
Learn
table#
comment section to include CAML and GAP//
comment section to include JScript"
comment section to include SmallTalkUnknown
comment section to include Jupyter Notebookconsole.log("String");
section to include JScriptprint_endline "String";;
section to include CAMLTranscript show: 'String'.
section to include SmallTalk"cells":[{"cell_type":"markdown","source":"String","metadata":{}},
section to include Jupyter NotebookUnknown
string section to include GAPThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Friday, October 7th
Changes:
Learn
table#
comment section to include VCL and IronPython<!--
& --!>
comment section to include FreeMarker and LiquidUnknown
comment section to include Rich Text Format<p>String</p>
section to include FreeMarker{{ "String" }}
section to include Liquidsynthetic {" String "};
section to include VCLMessageBox.Show("String", "Str", MessageBoxButtons.OKCancel)
section to include IronPython{\loch String}
section to include Rich Text FormatThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Saturday, October 8th
Changes:
Learn
table//
comment section to include CUDA/**
& **/
comment section to include Apex<!--
& --!>
comment section to include XSLT--
comment section to include Dhall{- Comment -}
comment section to include Dhall/%
& %/
comment section to include Slim- #
comment section to include Slim<p>String</p>
section to include XSLTprintf("String\n");
section to include CUDAStringy::{ stringy = "String" }
section to include Dhalltitle: string
section to include SlimSystem.debug('String');
section to include ApexThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Sunday, October 9th
Changes:
Learn
table//
comment section to include MATLAB, Verona, Cyclone, and Octave<!--
& --!>
comment section to include EJS++
comment section to include Diff<p>String</p>
section to include EJSprintf("String\n");
section to include Cyclonedisp('String');
section to include Octave and MATLAB'"
String
'";
section to include Verona++
section to include DiffThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Monday, October 10th
Changes:
Learn
table//
comment section to include Arduino, JSSS, and JScript.NET;
comment section to include SXML#
comment section to include Jythonprint "String"
section to include Jythonconst char check[] PROGMEM = "String\n";
section to include Arduino(tag (@ (attr1="String")))
section to include SXMLConsole.WriteLine("String");
section to include JScript.NETunknown
section to include JSSSThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Tuesday, October 11th
Changes:
Learn
table//
comment section to include SuperCollider{#
& #}
comment section to include Nunjucks#
comment section to include TOML/*
& */
comment section to include SWIG{{!-- Comment !--}}
comment section to include Mustache{{String}}
string section to include Mustache%echo "String"
section to include SWIG{ text: "String" }
section to include Nunjucks"String".postln;
section to include SuperCollidertitle = "String"
seciton to include TOMLThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Wednesday, October 12th
Changes:
Learn
table//
comment section to include Gradle;
comment section to include Common Lisp<!-- !-->
comment section to include LabVIEW/*
& */
comment section to include Gradle//
& /*
& */
comment section to include Gradlerem
/ REM
comment section to include Visual Basic Script'
comment section to include Visual Basic ScriptUNSUPPORTED
comment section to include JSON and CSV{{!-- Comment !--}}
comment section to include Mustachex=msgbox("String", 2+64, "Title")
string section to include Visual Basic Script(format t "Project language file 1")
section to include CommonLispdescription = "String"
section to include Gradle<Property Name="String" Type="Str"></Property>
section to include LabVIEWString,String
section to include CSVIntegration with SNU Prorgramming Tools
sectionThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Thursday, October 13th
Changes:
Learn
table/*
& */
comment section to include Maxima;
comment section to include Jasmin#
comment section to include Python Traceback, and CSON/*= Comment =*/
comment section to include SAS%*-- Comment
comment section to include SASldc "String"
string section to include Jasmin%putit(string=String)
section to include SASname: "String"
section to include CSONstring "String";
section to include MaximaUNKNOWN
section to include Python TracebackThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Friday, October 14th
Changes:
Learn
table/*
& */
comment section to include AspectJ//
& /*
& */
comment section to include AspectJ//
comment section to include AspectJ;
comment section to include ABNF#
comment section to include RobotFramework and PowerFX***
comment section to include RobotFrameworkDocumentation comment
comment section to include RobotFramework[Documentation] comment
comment section to include RobotFramework.ds comment
comment section to include RUNOFFSystem.out.println("String");
string section to include AspectJ.in string
section to include RUNOFFHtmlText: |-
="<p style='margin:0 0 0 0;'><a href='https://www.w3.org/TR/WCAG21/'> String </a></p>"
section to include PowerFXfield-content = <String>
section to include ABNFUNKNOWN
section to include RobotFrameworkThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Saturday, October 15th
Changes:
Learn
table/*
& */
comment section to include PostCSS//
comment section to include ABAP CDSUNKNOWN
comment section to include Max and Sublime Text #
comment section to include ORGMODE"maxclass" : "String",
section to include Max@String.type: #STRING
section to include ABAP-CDS"id" : "String",
section to include Sublime Textstring
section to include OrgMode, Plain Text, Markdown, ReStructuredText, ASCIIDoc, Pod, and RMarkdownUNKNOWN
section to include PostCSSThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Sunday, October 16th
Changes:
Learn
table--
comment section to include LiveCode;
comment section to include SMT, Windows Registry, and INTERCAL'
comment section to include VBAput "String"
section to include LiveCodeDebug.Print "String "
section to include VBA"Value"=String"
section to include Windows Registry EntriesDO .1 <- '?.1$.2'~'#String'
section to include INTERCALUNKNOWN
section to include SMTThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Monday, October 17th
Changes:
Learn
table;
comment section to include AutoHotKey and Hy||
comment section to include KRC (Kent Recursive Calculator)UNKNOWN
comment section to include RPC, and RDocMsgBox, "String""
section to include AutoHotKey(print "String")
section to include HySTRING "String";
section to include RPCmain=["String",nl]
section to include KRC (Kent Recursive Calculator)STRING
section to include RDocThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Tuesday, October 18th
Changes:
Learn
table--
comment section to include PLSQL''
comment section to include PlantUMLUNKNOWN
comment section to include Befunge//
comment section to include AGS-Script, and Chapel/*
& */
comment section to include Chapel//
& /*
& */
comment section to include Chapel>"gnirtS",,,,,,,,,,,@
section to include Befungedbms_output.put_line('String');
section to include PLSQLthis.Text = "String";
section to include AGS-Scriptwriteln("String");
section to include ChapelUNKNOWN
section to include PlantUMLThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Wednesday, October 19th
& 2022, Thursday, October 20th
Changes:
Learn
table;
comment section to include SRecode Template#
comment section to include LiveScript%
comment section to include TXLUNKNOWN
comment section to include Module Management System, and Wierd"String"
section to include SRecode TemplateText BYTE "String",10,0
section to include Module Management Systemconsole.log "String"
section to include LiveScriptgnirtS
section to include Wierdconsole.log("String")
section (now: console.log("String");
)UNKNOWN
section to include TXLThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Friday, October 21st
Changes:
Learn
table(*
& *)
comment section to include Augeas(
& )
comment section to include FP//
comment section to include CH, OpenCL, and X-Pixmap//
& /*
& */
comment section to include CH/*
& */
comment section to include CHlet x = "String"
section to include Augeasprintf("String\n");
section to include CHUNKNOWN
section to include FP, OpenCL, and X-PixmapExtras
sectionThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Saturday, October 22nd
Changes:
Learn
table;
comment section to include Latteunknown
comment section to include QueryByExample, and Textile//
comment section to include JSON5, and TSX//
& /*
& */
comment section to TSX/*
& */
comment section to include TSXlet message: string = 'String';
console.log(message);
{"String"}
section to include JSON5.....Heading: String
..Subheading: String
h1.Heading 1 String
section to include TextileUNKNOWN
section to LatteIssues
section2022 October 19th/20th issues
subsection2022 October 25th/26th issues
subsectionThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Sunday, October 23rd
Changes:
Learn
table;
comment section to include BlitzBasic||
comment section to include SASL#
comment section to include mcfunction (Minecraft)//
comment section to include VisualLogic(*
& *)
comment section to include Amulet%s: 'tring''
string section to include SASLPrint "String"
string section to include BlitzBasictellraw @p "String"
string section to include mcfunction (Minecraft)put_line "String"
section to include AmuletUNKNOWN
section to VisualLogicThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Monday, October 24th
Changes:
Learn
table;
comment section to include DCPU-16 ASM--
& {-
& -}
comment section to include Grammatical Framework//
comment section to include RPGLEUNKNOWN
comment section to include ISWIM, and Lucid:text
dat "String", 0
dcl-s mytext char(25);
mytext = 'String';
String => "String"
string section to include Grammatical FrameworkS = "String";
string section to include Lucid"String"
section to include ISWIMUNKNOWN
section to ISWIMUpdated the file info section
Updated the file history section
No other changes in version 70
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Tuesday, October 25th
& 2022, Wednesday, October 26th
Changes:
Learn
table#
comment section to include Smali, Terra, and KiCad Schematic//
comment section to include MLIRUNSUPPORTED
comment section to include KiCad Layoutstr1 = "String"
section to include MLIRconst-string v1, "String"
string section to include Smaliprint("String")
string section to include TerraS = "String";
string section to include Lucidstring "String"
section to include KiCad Schematic("String")
section to KiCad LayoutThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Thursday, October 27th at 11:59 pm PST
Changes:
Learn
table#
comment section to include Vim Snippet//
comment section to include Motoko and Nemerle//
& /*
& */
comment section to include Nemerle/*
& */
comment section to include Nemerle/**
& */
comment section to include Nemerle(*
& *)
comment section to include Nemerle--
comment section to include Macaulay2NB.
section to include Jecho 'String'
string section to include JPARA { "String" },
string section to include Macaulay2Debug.print("String");
string section to include MotokoSystem.Console.WriteLine ("String");
string section to include Nemerlesnippet string
"String"
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Friday, October 28th at 11:59 pm PST
Changes:
Learn
table#
comment section to include Matrix (seanpm2001)#
& """
comment section to include Matrix (seanpm2001)#
& '''
comment section to include Matrix (seanpm2001)#
& '''
& """
comment section to include Matrix (seanpm2001)//
comment section to include JFLex and DroppedText (seanpm2001)/*
& */
comment section to include JFlex--
comment section to include URLL (seanpm2001)'
comment section to include FreeBasicprint "String"
string section to include FreeBasicprint("String")
string section to include Matrix (seanpm2001)System.out.println("String");
string section to include JFlex/:DroppedText:\
/:Section1#String
unsupported
section to include URLL (seanpm2001)This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Saturday, October 29th at 11:59 pm PST
Changes:
Learn
table#
comment section to include CPython, Cobra, and Proguard#
& """
comment section to include CPython#
& '''
comment section to include CPython#
& '''
& """
comment section to include CPython//
comment section to include CadenceString
comment section to include MS-DOS Styleunsupported
comment section to include JSONunknown
comment section to include Unity3DAsset{"String"}
string section to include Blocklyprint("String")
string section to include CPythonself.message = "String"
string section to include CadenceexternalObjects: {"String"}
string section to include Unity3DAssetstring
string section to include MS-DOS Styleclass PRTSTR
def main
print 'String'
unknown
section to include ProguardThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Sunday, October 30th at 11:59 pm PST
Changes:
Learn
table#
comment section to include WaveFront Material%
comment section to include MATLAB (already done);
comment section to include CAP-CDS<!--
& !-->
comment section to include JetBrains MPS::
comment section to include Batchunknown
comment section to include Scratch and Squeak!echo 'String'
string section to include Batchfiledisp('String')
string section to include MATLAB and Octave<para>String</para>
string section to include JetBrains MPSunknown
section to include Scratch, Squeak!, WaveFront-Material, and CAP-CDSThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Monday, October 31st at 11:59 pm PST
Changes:
Learn
table(*
& *)
comment section to include BSDL//
comment section to include FLUX<!--
&!-->
comment section to include ASP and ASP.NETString
comment section to include BibTeX<%
Response.write "String"
%>
<p>String</p>
string section to include ASP.NET"String"
string section to include BSDLUnknown
string section to include FLUX@string(example,
title=String,
}
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Tuesday, November 1st at 11:59 pm PST
Changes:
Learn
table(*
& *)
comment section to include Coq//
comment section to include Genie//
& /*
comment section to include Genie/*
& */
comment section to include Genie#
comment section to include Cap'n Proto;
comment section to include CSound and CSound Documentinit
print "Hello, world!"
string @1 () -> (str "String");
string section to include Cap'n protoSystem.log (LString.s "String")
string section to include CoqUnknown
string section to include CSound and CSound DocumentThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Wednesday, November 2nd at 11:59 pm PST
Changes:
Learn
table//
comment section to include Gerber Image/*
& */
comment section to include Graphviz (DOT);
comment section to include CSound Score#,
comment section to include GetTextunknown
comment section to include JSON, as it has already been includedstr "Hello World"
printf(_("String"), str1);
{"String"}
string section to include JSON, as it has already been included"Str" -> "String"
string section to include GraphViz (DOT)System.log (LString.s "String")
string section to include CoqUnknown
string section to include Gerber Image and CSound ScoreThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Thursday, November 3rd at 11:59 pm PST
Changes:
Learn
table//
comment section to include Less//
& /*
& */
comment section to include Less/*
& */
comment section to include Less;
comment section to include Lisp#
comment section to include Limbo:co
comment section to include Intel Hex (Binary)%
comment section to include PostScript, as it has already been includedbody::before {
content: "String"
}
(String\n) print quit
string section to include PostScript(print "String")
string section to include LispUnknown
string section to include Intel Hex (Binary)implement Command;
include "sys.m";
sys: Sys;
include "draw.m";
include "sh.m";
init(nil: ref Draw->Context, nil: list of string)
{
sys = load Sys Sys->PATH;
sys->print("String\n");
}
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Friday, November 4th at 11:59 pm PST
Changes:
Learn
table||
comment section to include Miranda;
comment section to include PureBasic(*
& *)
comment section to include ML--
comment section to include Piglatin (Apache), as it has already been includedUnknown
comment section to include Rebollay ["String","Char"] = "String\Char\n"
string section to include Mirandaprint "String\n"
string section to include MLview layout [text "String" button "Quit" [quit]]
string section to include REBOLMessageRequester("Message Box", "String")
string section to include PureBasicoutput "String"
quit
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Saturday, November 5th at 11:59 pm PST
Changes:
Learn
table#
comment section to include sed(
& )
comment section to include Refal//
comment section to include Verilog and SCSS//
& /*
& */
comment section to include Verilog and SCSS/*
& */
comment section to include Verilog, Ragel, and SCSSprintf( "String\n" value );
string section to include Ragelmodule main;
initial
begin
$display("String");
$finish;
end
endmodule
$ENTRY Go { = <StrTest>;}
StrTest {
= <Prout 'String'>;
}
body::before {
content: "String";
}
#!/bin/sed -f
c\
String
q
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Sunday, November 6th at 11:59 pm PST
Changes:
Learn
table--
comment section to include SQL and TSQL, as it has already been done(* Comment *)
comment section to include StandardML, as it has already been done%
comment section to include TeX, as it has already been done//
comment section to include Verilog-AMS//
& /*
& */
comment section to include Verilog-AMS/*
& */
comment section to include Verilog-AMSprintf( "String\n" value );
string section to include Ragelmodule main;
initial
begin
$display("String");
$finish;
end
endmodule
DECLARE @message varchar(128)
SELECT @message = 'String'
PRINT @message
\documentclass{article} % Starts an article
\begin{document} % Begins a document
\a String
#!/bin/sed -f
c\
String
q
fun string1() = print("String\n");
string1()
SELECT 'String';
string section to include SQLThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Monday, November 7th at 11:59 pm PST
Changes:
Learn
table#
comment section to include Monkey-C, Perl6, Pod6, and WaveFront Object/*
& */
comment section to include Linker Script.text : { *(.String) }
string section to include Linker Scriptputs("Hello World")
string section to include Monkey-CString
string section to include Pod6say "String"
string section to include Perl6print "String"
string section to include Perl6Unknown
string section to include WaveFront ObjectThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Tuesday, November 8th at 11:59 pm PST
Changes:
Learn
table#
comment section to include Berry//
comment section to include StanUnknown
comment section to include Scratch 1.x, Scratch 2.x, and Scratch 3.xprint('String')
string section to include Berrystr1 = "String"
string section to include Stan{"String"}
string section to include Scratch 1.x, Scratch 2.x, and Scratch 3.xThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Wednesday, November 9th at 11:59 pm PST
Changes:
Learn
table#
comment section to include PowerShell::
comment section to include Batch'
comment section to include Visual Basic .NET//
comment section to include Groovy and Roff@echo off
echo String
'String'
string section to include PowerShellprintln "String"
string section to include Groovy, as it has already been doneConsole.WriteLine("String")
string section to include Visual Basic .NETUnknown
string section to include RoffThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Thursday, November 10th at 11:59 pm PST
Changes:
Learn
table--
comment section to include PureScript, PLpgSQL, and SQLPL/*
& */
comment section to include EBNF//
comment section to include Groovy and RenderScript<?xqlint
::= 'String'
<?xqlint
});
?>
SELECT 'String' AS title_message;
string section to include PLpgSQLBEGIN
dbms_output.put_line('String');
END;
/
Console.WriteLine("String")
string section to include Visual Basic .NETUnknown
string section to include RenderScrptmodule Main where
import Debug.Trace
main = trace "String"
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Friday, November 11th at 11:59 pm PST
Changes:
Learn
table'
comment section to include BlitzMax#REM
Comment
#END
//
comment section to include Stataputs("String")
string section to include MonkeyUnknown
string section to include BlitzMax, and StataThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Tuesday, November 22nd at 7:32 pm PST
Changes:
Learn
table;;
comment section to include Scheme, as it had already been doneUnknown
comment section to include ABC and HTTP(let ((str1 (lambda() (display "String") (newline))))
(str1))
HTTP/1.1 200 OK
Date: Thursday, 1970, January 1st
Content-Type: text/html; charset=UTF-8
Content-Length: 512
Last-Modified: Thursday, 1970, January 1st at 00:00 PST
Server: Apache/2.4.54 (Unix) (Debian/Linux)
ETag:
Accept-Ranges: bytes
Connection: close
HOW TO RETURN str1 document:
PUT {"String"} IN collection
RETURN collection
END
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Wednesday, November 23rd at 4:40 pm PST
Changes:
Learn
tableExtras
sectionPhD in PHP
Python Traceback Samples
to the P sectionReStructuredText Sandbox
to the R section//
comment section to include CSHTML'
comment section to include VBHTML<%@ Page Language="C#" debug="true" trace="false"%>
<p>String</p>
<%@ Page Language="VB" %>
<p>String</p>
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Thursday, November 24th at 10:16 pm PST
Changes:
Learn
table<!--
& !-->
comment section to include ASP.NET Core and Classic ASP'
comment section to include Classic ASP#
comment section to include M4SugarResponse.write "String"
string section to include Classic ASP<p>String</p>
string section to include ASP.NET CoreUnknown
string section to include M4SugarThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Friday, November 25th at 11:36 pm PST
Changes:
Learn
table;
comment section to include UNIX Assemblyunknown
comment section to include Linux Kernel Module#include "macros.inc"
.macro MacroOne start
"String"
module string
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Saturday, November 26th at 8:53 pm PST
Note I accidentally used data from 2022 November 27th today, instead of what I had assigned for 2022 November 26th
Changes:
Important overview notes
sectionThings to take note of
sectionLanguage switcher
sectionLearn
table#
comment section to include STAR'
comment section to include BrighterScriptFunction string(msgPort As Object, userVariables As Object, bsp as Object)
print "String"
End Function
Unknown
string section to include STARThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Sunday, November 27th at 9:32 pm PST
Note I accidentally used data from 2022 November 27th yesterday, instead of what I had assigned for 2022 November 26th
Changes:
Important overview notes
sectionThings to take note of
sectionLearn
table/**
& */
comment section to include Closure Templates<!--
& !-->
comment section to include HTML;;
comment section to include WebAssembly{namespace planepage}
{template .start}
<p>
{msg meaning="Plane.instructions" desc="String"{/msg}
</p>
{/template}
<p>String</p>
string section to include HTMLUnknown
string section to include WebAssemblyThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Monday, November 28th at 11:59 pm PST
Note No new notes for this entry.
Changes:
Learn
table/*
& */
comment section to include PEG.js and Jakarta Server Pages<!--
& !-->
comment section to include Jakarta Server Pages//
comment section to include PEG.js and Jakarta Server PagesString "String"
string section to include PEG.js<% <p>String</p> %>
string section to include Jakarta Server Pagesout.print("String\n");
string section to include Jakarta Server PagesThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Tuesday, November 29th at 11:59 pm PST
Note All caught up again (for now)
Changes:
Learn
table/*
& */
comment section to include Java Server Pages<!--
& !-->
comment section to include Java Server Pages//
comment section to include PEG.js and Java Server Pages<% <p>String</p> %>
string section to include Java Server Pagesout.print("String\n");
string section to include Java Server PagesThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Friday, December 9th at 11:59 pm PST
Note All caught up again (for now) after a 6 day delay
Changes:
Learn
table/*
& */
comment section to include XTend//
comment section to include XTend//
& /*
comment section to include XTend// Comment
/** Comment */
body = '''
String
'''
@FXApp class HelloWorldXtend {
override void start(Stage it) {
title = "String"
scene = new Scene(new StackPane => [
children += new Button => [
text = "Say 'String'"
onAction = [
println("String")
]
]
], 300, 250)
show
}
}
Extras
sectionExtras/M
sectionThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Thursday, December 22nd at 11:59 pm PST
Note It may take me a while to get caught back up.
Changes:
Learn
table--
comment section to include SDLang#
comment section to include SDLang/*
& */
comment section to include SDLang//
comment section to include SDLangTitle "String"
string section to include SDLangThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2022, Sunday, December 24th at 11:59 pm PST
Note I plan to start making new language repositories again soon, as I am caught back up.
Changes:
Learn
table#
comment section to include StrictYAMLstring: "String"
string section to include StrictYAMLThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Wednesday, January 18th at 5:11 pm PST
Note This was my first day back to creating new learn repos this year
Changes:
Learn
table///
comment section to include Gleam////
comment section to include URLL v3////*
& \\\\
comment section to include URLL v3#-*-
comment section to include YASnippetio.println("String")
string section to support Gleam# -*- mode: snippet -*-
fn main() {
"String"
}
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Thursday, January 19th at 7:20 pm PST
Note This was my second day back to creating new learn repos this year
Changes:
Learn
table//
comment section to include Component Pascal and Wren(*
& *)
comment section to include Component PascalSystem.print("String")
string section to include WrenConsole.WriteString("String");
string section to include Component PascalThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Saturday, January 21st at 8:42 pm PST
Note No additional notes available.
Changes:
Learn
table//
comment section to include Oxygene<!--
& !-->
comment section to include ColdFusion<cfset message = "String">
<cfoutput> #message#</cfoutput>
implementation
class method ConsoleApp.Main;
begin
Console.WriteLine('String');
end;
end.
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Monday, January 23rd at 8:47 pm PST
Note No additional notes available.
Changes:
Learn
table//
comment section to include WebIDL/*!
& !*/
comment section to include SugarSSS = "String";
section to include WebIDLUnknown
section to include SugarSSThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Wednesday, January 25th at 8:10 pm PST
Note No additional notes available.
Changes:
Learn
table//
comment section to include ColdFusion CFC and Protocol Buffer/*
& */
comment section to include ColdFusion CFC and Protocol BufferS = "String";
section to include ColdFusion CFCUnknown
section to include Protocol BufferThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Thursday, January 26th at 4:06 pm PST
Note No additional notes available.
Changes:
Learn
tableUnknown
section to include WhileUnknown
section to include WhileThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Friday, January 27th at 6:20 pm PST
Note No additional notes available.
Changes:
Learn
table#
section to include Zeekconst str1
= "String" &redef;` section to include ZeekThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Saturday, January 28th at 10:12 pm PST
Note No additional notes available.
Changes:
Learn
table#
section to include Lobsterprint "String"
section to include LobsterThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Sunday, January 29th at 10:25 pm PST
Note No additional notes available.
Changes:
Learn
table--
section to include MAXScriptrollout string "String"
section to include MAXScriptThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Monday, January 30th at 5:31 pm PST
Note No additional notes available.
Changes:
Learn
table<!-- Comment !-->
comment section to include Antlers# Comment
comment section to include Slash// Comment
comment section to include Little/*
& */
comment section to include Little; Comment
comment section to include mIRC-Script<p>String</p>
string section to include Antlersprint("String\n");
string section to include Slashecho -a String
string section to include mIRC-Scriptstring str1 = "String";
section to include LittleThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Tuesday, January 31st at 2:32 pm PST
Note No additional notes available.
Changes:
Learn
table# Comment
comment section to include Bro and jqdef str1: "String";
string section to include jqprint "String";
string section to include BroThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Friday, February 10th at 6:30 pm PST
Note No additional notes available.
Changes:
Learn
table// Comment
comment section to include CUEstr: string
string: "String"
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Wednesday, February 15th at 6:19 pm PST
Note No additional notes available.
Changes:
Learn
table// Comment
comment section to include SQF/* Comment */
comment section to include SQF//
& /*
Comment
comment section to include SQFdiag_log "String";
string section to include SQFThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Wednesday, February 15th at 6:55 pm PST
Note Came back for the second update today, as processing.js was never included, I somehow completely skipped over it until today.
Changes:
Learn
table// Comment
comment section to include Processing.js/* Comment */
comment section to include Processing.js//
& /*
Comment
comment section to include Processing.jsstr1 = "String";
string section to include Processing.jsThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Thursday, February 16th at 8:30 pm PST
Note I only added obscure languages today. That doesn't mean they are bad.
Changes:
Learn
tableUNKNOWN
comment section to include BlooP and FlooPDEFINE PROCEDURE ''STRING'' [N]:
BLOCK 0: BEGIN
PRINT['String']
BLOCK 0: END.
STRING[1];
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Friday, February 17th at 9:05 pm PST
Note No unique status notes for today.
Changes:
Learn
table!
comment section to include BLISS!+
! Comment
!-
name: "String"
string section to include BLISSThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Saturday, February 18th at 7:28 pm PST
Note No unique status notes for today.
Changes:
Learn
table*
comment section to include SNOBOL OUTPUT = "String"
END
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Sunday, February 19th at 12:34 pm PST
Note There are a lot of comment sections that weren't added today (for the commenter language) as I didn't have the time. I also fixed several broken
> **Note**
sections by removing the:
from them
Changes:
Learn
tableNot supported
string section to include CommenterThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Thursday, March 2nd at 9:39 pm PST
Note Just a normal first release of the month
Changes:
Learn
table#
comment section to include YAML"message": {
"type": "string"
}
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Saturday, March 4th at 11:59 pm PST
Note Coming 1 day late, as I had procrastination issues last night. Also, I love the new header :)
Changes:
Learn
table--
comment section to include ErmineUnknown
section to include ErmineThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Tuesday, March 7th at 11:59 pm PST
Note Processing is not to be confused with Processing.js. They are both made by the same organization, but are 2 different languages.
Changes:
Learn
table//
comment section to include Processingstr1 = "String";
section to include ProcessingThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Wednesday, March 8th at 11:59 pm PST
Note 1 language created by me was included today (MGears)
Changes:
Learn
table//
comment section to include Google Apps Script#
comment section to include MGears"""
comment section to include MGears'''
comment section to include MGearsprint("String")
section to include MGearsmsg = msg || 'String'
section to include Google Apps ScriptThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Thursday, March 9th at 11:59 pm PST
Note Last consecutive day of work before a vacation.
Changes:
Learn
table//
comment section to include Mojomunknown
section to include MojomThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Wednesday, March 15th at 10:37 pm PST
Note No notes.
Changes:
Learn
table//
comment section to include DataWeavetypeOf ("String")
section to include DataWeaveThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Thursday, March 16th at 10:59 pm PST
Note None
Changes:
Learn
table//
comment section to include Gremlin and Wasptitle: "String",
section to include WaspUnknown
section to include GremlinThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Friday, March 24th at 11:59 pm PST
Note Added support for MTML and Sweave. This release is coming 1 day late, as there were some personal issues last night that made me lose time.
Changes:
Important observation notes
sectionLearn
table#
comment section to include Sweave<!--
& !-->
comment section to include MTMLprint("String")
section to include Sweave<p>String</p>
section to include MTMLThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Wednesday, March 29th at 5:00 pm PST
Note Added support for BlockQL, a language created by me. It is undergoing major semantic changes, and will change significantly, but the comment and string format listed here is planned to remain the same.
Changes:
Learn
table--
comment section to include BlockQL-=-
& -=-
comment section to include BlockQL"String"
section to include BlockQLThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Sunday, April 2nd at 6:11 pm PST
Note Added support for DSergeant and GRANNY, 2 languages created by me.
Changes:
Learn
table%%
comment section to include DSergeant and CommenterC:
comment section to include AGRAN and CommenterLoud("String")
string section to include DSergeantQuiet("String")
string section to include DSergeantandroid.output.printBox("String")
string section to include GRANNYThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Monday, April 3rd at 8:50 pm PST
Note Added support for MCPYE CL and Objective-C#, 2 more languages created by me.
Changes:
Learn
table#
comment section to include MCPYE CL//
comment section to include Objective-C#//
& /*
& */
comment section to include Objective-C#/*
& */
comment section to include Objective-C#NSLog.println("String");
string section to include Objective-C#tellraw @p "String"
string section to include MCPYE CLThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Tuesday, April 4th at 11:59 pm PST
Note Added support for Objective-J++ and Objective-J#, yet another 2 languages created by me. This release was delayed by one day, as I lost a family member yesterday, and was in too much pain to continue.
Changes:
Learn
table//
comment section to include Objective-J# and Objective-J++//
& /*
& */
comment section to include Objective-J# and Objective-J++/*
& */
comment section to include Objective-J# and Objective-J++NS::cout >> "String";
string section to include Objective-J++NSLog.writeln("String");
string section to include Objective-J#This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Thursday, April 6th at 11:59 pm PST
Note Added support for AGRAN, the last language created by me (at the moment) this release was delayed by 1 day, as I had a difficult time yesterday.
Changes:
Learn
tableC:
comment section to include AGRANandroid.output.printBox("String")
string section to include AGRANThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Thursday, April 6th at 11:59 pm PST
Note My first advertiser/sponsor
Changes:
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Friday, May 26th at 11:59 pm PST
Note Added support for Ezhil, a Tamil-language programming language based on Python and BASIC
Changes:
Learn
table#
comment section to include Ezhil "String"
string section to include EzhilThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Thursday, June 22nd at 11:59 pm PST
Note I didn't have the time to work with the beef update here on the 21st, so I held it off to today. This update adds support for the
Beef
andHarbour
programming languages. This was a massive update, and also the first version where the file exceeded 10,000 lines
Changes:
Learn
table//
comment section to include Beef and Harbour//
& /* */
comment section to include Beef and Harbour/* */
comment section to include Beef and HarbourQOut( "String" )
string section to include HarbourAlert( "String" )
ring section to include HarbourPROCEDURE Main()
? "String"
RETURN
using System;
namespace StringTest
{
class Program
{
static void Main()
{
Console.WriteLine("String");
}
}
}
Console.WriteLine("String");
string section to include Beef? "String"
string section to include Beefsupported by
sectionThis release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Wednesday, August 9th at 11:59 pm PST
Note This update is long overdue. Since the last update, I have added 16 languages to the database. During my downtime, there was a bug on GitHub for a few weeks that prevented this projects
README.md
to load as rendered markdown, which made me not want to update it. It has been fixed for a while now, and I finally did a full update to this repository. Additionally, the> **Note**
markdown tag has been deprecated in this time, but I am going to keep writing it the old way, as I won't update to the slightly changed new way, since it would break consistency on all projects of mine that use it.
Changes:
Microsoft Learn
sectionLanguage family tree
sectionLearn
table#
comment section to include GolfScript, Mojo, Tampio, and Triton;
comment section to include Wisp#
& """
comment section to include Mojo and Triton#
& '''
comment section to include Mojo and Triton%
comment section to include CWeb and WEB//
comment section to include BennuGD, Cairo, MQL4, MQL5, SmPL, and XBase++//
& /*
comment section to include BennuGDUnknown
comment section to include Plankalkl and Whitespace@*
& *@
comment section to include CWeb and WEB (also commenter)<!--
& !-->
comment section to include Fuzzy Markup Language (FML)s1 = "String"
string section to include BennuGDs1 = "String";
string section to include MQL4'string'.print()
string section to include Cairoprintf("String");
string section to include CWeb<fuzzyTerm name="String" complement="false"></fuzzyTerm>
string section to include Fuzzy Markup Laguage<?xml version="1.0" encoding="UTF-8" ?>
<st>String</st>
"String"
string section to include GolfScript+ "String"
string section to include SmPL#property s1 "String"
string section to include MQL5printf("String")
string section to include Mojonykyinen sivu nytt tekstin "String",
string section to include Tampiolet s1 = "String";
return(s1);
(def str
str "String"))
RETURN "String"
string section to include XBase++print_nl('String')
string section to include WEBT L
S S :Output_'S';_S S S T T S T T S S L:+1101100=108='S'
T L
T L
S S :Output_'T';_S S S T T S T T S S L:+1101100=108='T'
T L
T L
S S :Output_'R';_S S S T T S T T S S L:+1101100=108='R'
T L
T L
S S :Output_'I';_S S S T T S T T S S L:+1101100=108='I'
T L
T L
T L
S S :Output_'N';_S S S T T S T T S S L:+1101100=108='N'
T L
T L
S S :Output_'G';_S S S T T S T T S S L:+1101100=108='N'
T L
T L
This release was made by: :octocat: @seanpm2001
This release uses/represents data from: 2023, Thursday, August 10th at 11:59 pm PST
Note A simple, consistent release, and the last one for several days.
Changes:
Learn
table%
comment section to include Turingput "String"
string section to include TuringChanges:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Changes:
Featured content:
Cheat-sheet-HTML-tags | Difference between HTML and HTML5 |
---|
You have reached the end of the README file
( Back to top | Exit to GitHub | Exit to Bing | Exit to DuckDuckGo | Exit to Safe.DuckDuckGo | Exit to Ecosia | Exit to Swisscows )