SpinalHDL

Scala based HDL

OTHER License

Stars
1.6K

Bot releases are hidden (Show)

SpinalHDL - v1.10.2a Latest Release

Published by Dolu1990 4 months ago

Fix Verilator support (some 5.0+ versions were crashing)

SpinalHDL - v1.10.2

Published by Dolu1990 4 months ago

What's Changed

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.10.1...v1.10.2

SpinalHDL - v1.10.1

Published by Dolu1990 9 months ago

What's Changed

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.10.0...v1.10.1

SpinalHDL - v1.10.0

Published by Dolu1990 10 months ago

This release integrate the new pipelining API (spinal.lib.misc.pipeline) which is documented here :

What's Changed

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.9.4...v1.10.0

SpinalHDL - v1.9.4

Published by Dolu1990 12 months ago

What's Changed

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.9.3...v1.9.4

SpinalHDL - v1.9.3

Published by Dolu1990 about 1 year ago

Mostly 3 important things :

  • Fix Apb3CC metastability when empty
  • Prevent Verilator from silently exiting the app on $finish (assertion)
  • Fix broken scalatic jar dependency giving issues at compilation

Note that Verilator upstream itself may have an issue with clock edges at time 0 :
https://github.com/verilator/verilator/issues/4424

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.9.2...v1.9.3

SpinalHDL - v1.9.2

Published by Dolu1990 about 1 year ago

Mostly fixes, but also add the new "reader" API, see the https://spinalhdl.github.io/SpinalDoc-RTD/master/SpinalHDL/Examples/Advanced%20ones/slots.html#slots demo (on the bottom, "reader")

What's Changed

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.9.1...v1.9.2

SpinalHDL - v1.9.0

Published by Dolu1990 about 1 year ago

! This release fix the StreamFifoCC from leaking metastable io.pop.payload when empty !

What's Changed

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.8.2...v1.9.0

SpinalHDL - v1.8.2

Published by Dolu1990 over 1 year ago

What's Changed

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.8.1...v1.8.2

SpinalHDL - v1.8.1

Published by Dolu1990 over 1 year ago

Finaly out <3

What's Changed

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.8.0...v1.8.1

SpinalHDL - v1.8.0b

Published by Dolu1990 almost 2 years ago

Fix SpinalEnum not being usable outside SpinalHDL context

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.8.0a...v1.8.0b

SpinalHDL - v1.8.0a

Published by Dolu1990 almost 2 years ago

What's Changed

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.8.0...v1.8.0a

SpinalHDL - v1.8.0

Published by Dolu1990 almost 2 years ago

What's Changed

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.7.3...v1.8.0

SpinalHDL - v1.7.3a

Published by Dolu1990 about 2 years ago

This hotfix chery pick a few things from dev :

  • 365f57ac Fix Verilator backend rtlIncludeDirs on windows
  • 9d20166c mergeRTLSource can now override the input safely #851 Dolu1990 09/20/2022 10:37 AM
  • 56400992 fix #863 Add allowOutOfRangeLiterals(my4bits === 42) to skip error. Also add SpinalConfig(allowOutOfRangeLiterals = true) to apply it everywhere

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.7.3...v1.7.3a

SpinalHDL - v1.7.3

Published by Dolu1990 about 2 years ago

The main purpose of this release is to fix a bug affecting the generation of tristate signals.

What's Changed

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.7.2...v1.7.3

SpinalHDL - v1.7.2

Published by Dolu1990 about 2 years ago

Mostly, this fix a crash when generating blackbox in verilog with one file per component enabled

What's Changed

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.7.1...v1.7.2

SpinalHDL - v1.7.1

Published by Dolu1990 over 2 years ago

What's Changed

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.7.0...v1.7.1

SpinalHDL - v1.7.0

Published by Dolu1990 over 2 years ago

v1.7.0

Two new main features :

  1. Formal verification is now in a good state
  1. AFix floating point added (experimental, subject to changes)
  • Unifie unsigned/signed handeling
  • Tracking the exact range of possible values

And many other additions and fixes !

Auto generated change log from github :

What's Changed

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.6.4...v1.7.0

SpinalHDL - v1.6.4

Published by Dolu1990 over 2 years ago

v1.6.4

Mostly 3 fixes :

  • Nameable.composite now handle the ref owner properly
  • Fix cross clock pop reset for active low restets
  • Emited VHDL now check for bit access being out of range

In bulk :

  • Add Bool.asSInt(bitCount)
  • Vhdl package now check against out of bound
  • improve component definition name overlap error report
  • Add SEL handling to WishboneSlaveFactory
  • Fix asyncAssertSyncDeassertCreateCd reset polarity
  • add more test configs for the StreamFifoCcTester
  • #609 add SpinalReport.printZeroWidth()
  • #608 add Stream.forkSerial
  • Fix #610 (removePruned=true removing too much)
  • Add Axilite4 plic/clint
  • Add support for verilog simple dual port read first
  • add Any.ifMap(cond)(T => T)
  • improve the axi4ram design by pipeline stream
  • use show ahead pattern instead of plain logic.
  • use queue to break down the bStream and writeStream.
  • Verilog backend can now emit mux's switch with single target without using begin end
  • Add mssing code (Nameable.setPartialName with owner)
  • Add wishbone plic/clint
  • Add AxiLite4SpecRenamer for read only
  • Area vallCallbackRec is now able to properly override ref owner
SpinalHDL - V1.6.2

Published by Dolu1990 over 2 years ago

V1.6.2

Mostly fixes with a some additions

  • Verilog backend now implement a better randboot
  • add OHMasking.roundRobinMaskedInvert
  • LatencyAnalysis now assert no null arguements
  • Component postInitCallback now enforce the clockdomain
  • MemReadPort.bypass added
  • Regif merged
  • Fix SpiXdrMasterCtrl definition name being forced
  • Fix generation of RTS and CTS pins for UartCtrl
  • SwitchStatement.normalizeInputs fixed for scala 2.13.7+
  • SpiXdrMasterCtrl can now be used for more than 8 bits SPI frame and mixed width configurations
  • Fix non trivial verilog fixed signal are emited by using function (fix sim)
  • StateMachine build can now be manualy enforced
  • Fix Scope property push when never set by the past and no default
  • Fix a few ScopeProperty restore/rework
  • add more option to axi4 unburstify.
  • support useSize = false to axi4 unburstify.
  • Verilator backend no more copy rom bin files to the current directory.
  • Add downsizer for Axi4
  • JtagInstructionWrapper.ignoreWidth added to handle jtag chain (openocd updated too)
  • Component stub clock/reset removed bug fix
  • Backends do not check anymore the definition name uniquness of blackboxes (#546)
  • Add reset function to Axi4 related simulation agents.
  • Add more Symplify api
  • Add OhMux
  • Binary system utils added
  • Add globalCache(key, factory)
  • Fix scala 2.13 Apb3Decoder Seq
  • Fix #553 Verilog /* xx */ for CD BOOT kind
  • spinal.lib add Repeat(Data, times)
  • Axi4SlaveFactory now buffer the write responses to avoid some combinatorial link between streams
  • spinal.lib now implicitly add withBufferedResetFrom function to ClockDomain
  • add BitVector orMask/andMask

What's Changed

New Contributors

Full Changelog: https://github.com/SpinalHDL/SpinalHDL/compare/v1.6.1...v1.6.2