tangnano9k-playground

Tang Nano 9K Spinal projects. Learning FPGA programing.😀

Stars
9

Tang Nano 9K - Playground

Projects are generated from andelf/tangnano9k-spinal.g8 template.

Subprojects

  • blinky: onboard 27MHz xtal
  • blinky2: same as above, use yosys + nextpnr-gowin
  • blinky_osc: onchip 250MHz clock, with OSC
  • clock: rPLL
  • counter: one-time counter
  • rgb-lcd: 4.3 inch 480x272 RGB TFT-LCD